Taipei, Saturday, Apr 20, 2024, 05:26

News

ASML to Take Semiconductor Equipment Lead from Applied Materials in 2019

Published: Nov 25,2019

For the first time since 1990, Applied Materials is poised to lose its lead in the semiconductor equipment market, according to the report “The Global Semiconductor Equipment: Markets, Market Shares, Market Forecasts,” recently published by The Information Network.

More on This

u-blox Launches New GNSS Platform for Enhanced Positioning Accuracy in Urban Environments

u-blox has announced F10, the company’s first dual-band GNSS (Global Navigation Satellite Systems) platform combining ...

u-blox Releases Versatile Wi-Fi 6 Module for the Mass Market

u-blox has announced its new NORA-W4 module. With its comprehensive range of wireless technologies (Wi-Fi 6, Bluetooth LE 5...

“Applied Materials, which has been losing market share in the wafer front end (WFE) equipment market for the past three years, is poised to lose its lead in 2019,“ noted Dr. Robert Castellano, President of The Information Network. “ASML will take over the lead on the strength of its shipments of pricy EUV lithography equipment.”

The chart below shows market shares for the top five individual equipment companies. Applied Materials, which had a market share of 19.2% in 2018 (down from 23.0% in 2015), will increase its share of the total market slightly to 19.4% in 2019. However, ASML, which held an 18.0% share in 2018, will jump to a 21.6% share in 2019.

“Based on a modest recovery of 5% in the overall WFE market in 2020 and on capex spends planned by semiconductor manufacturers, ASML will increase its market share in 2020 to 22.8%, while Applied Materials will maintain its share of 19.3%,” added Dr. Castellano.

CTIMES loves to interact with the global technology related companies and individuals, you can deliver your products information or share industrial intelligence. Please email us to en@ctimes.com.tw

2980 viewed

Most Popular

comments powered by Disqus