Taipei, Saturday, Sep 28, 2024, 01:26

News

ASML to Take Semiconductor Equipment Lead from Applied Materials in 2019

Published: Nov 25,2019

For the first time since 1990, Applied Materials is poised to lose its lead in the semiconductor equipment market, according to the report “The Global Semiconductor Equipment: Markets, Market Shares, Market Forecasts,” recently published by The Information Network.

More on This

u-blox Positioning Solutions Available on NVIDIA Jetson Edge AI and DRIVE Hyperion platforms

u-blox has strengthened its contribution to the NVIDIA Jetson and NVIDIA DRIVE Hyperion platforms as part of its strate...

AI-Driven Industrial Transformation and Trends

The immense computing power of AI is reshaping the technology industry. From the development of ChatGPT by OpenAI in late 2022 to the launch of Sora...

“Applied Materials, which has been losing market share in the wafer front end (WFE) equipment market for the past three years, is poised to lose its lead in 2019,“ noted Dr. Robert Castellano, President of The Information Network. “ASML will take over the lead on the strength of its shipments of pricy EUV lithography equipment.”

The chart below shows market shares for the top five individual equipment companies. Applied Materials, which had a market share of 19.2% in 2018 (down from 23.0% in 2015), will increase its share of the total market slightly to 19.4% in 2019. However, ASML, which held an 18.0% share in 2018, will jump to a 21.6% share in 2019.

“Based on a modest recovery of 5% in the overall WFE market in 2020 and on capex spends planned by semiconductor manufacturers, ASML will increase its market share in 2020 to 22.8%, while Applied Materials will maintain its share of 19.3%,” added Dr. Castellano.

CTIMES loves to interact with the global technology related companies and individuals, you can deliver your products information or share industrial intelligence. Please email us to en@ctimes.com.tw

3046 viewed

comments powered by Disqus