Taipei, Sunday, Sep 29, 2024, 09:24

News

SMIC and Synopsys Deliver 28-nm HKMG Low-Power Reference Flow

Published: Jun 08,2016

Semiconductor Manufacturing International Corporation (SMIC) and Synopsys, Inc. today announced immediate availability of their joint 28-nanometer (nm) RTL-to-GDSII reference design flow. Developed through deep engineering collaboration between Synopsys and SMIC on the 28-nm High-K Metal Gate (HKMG) process technology, the flow is based on Synopsys' Galaxy Design Platform using key features from the IC Compiler II place and route solution, Design Compiler Graphical synthesis, StarRC extraction solution, PrimeTime signoff solution and IC Validator physical verification solution.

More on This

SMIC Signs License Agreement For Invensas’ DBI Technology

Semiconductor Manufacturing International Corporation ("SMIC") has executed a technology transfer and license agreement...

SMIC Starts Construction of a New 12-Inch Wafer Fab in Shanghai, China

Semiconductor Manufacturing International Corporation (SMIC), one of semiconductor foundries in Mainland China, yesterda...

Already deployed on hundreds of designs, IC Compiler II addresses today's hypersensitive time-to-market needs by delivering superior quality of results and significant productivity gains with 10X faster design planning, 5X faster implementation and 2X more capacity. The reference flow features support for low-power techniques such as power-aware clock tree synthesis, power gating and physical optimization, enabled by industry standard IEEE-1801 UPF (Unified Power Format) power intent. Use of the reference flow allows designers to gain performance, power efficiency and chip density advantages while achieving predictable design closure.

The Lynx technology plug-in for the SMIC 28-nm HKMG process extends the reference flow to accelerate design setup and closure with Synopsys' Lynx Design System, a full-chip design environment providing innovative automation and visualization capabilities. This plug-in includes additional process technology information and representative flow and tool settings that help reduce the time it takes to get to optimized design results.

"Designers require a reference flow that addresses both high-performance and low-power requirements," said Tianshen Tang, senior vice president of Design Service at SMIC. "With the release of the SMIC-Synopsys 28-nanometer reference flow, we are enabling IC designers to accelerate release of their designs into manufacturing through the combination of SMIC's 28-nanometer High-K Metal Gate process technology and Synopsys' technology-leading design and IP solutions."

"Our mutual customers have always been at the forefront of innovation," said Bijan Kiani, vice president of marketing for the Design Group at Synopsys, Inc. "Through our collaboration with SMIC, we are delivering a proven high-performance, low-power reference flow and Lynx technology plug-in that utilize our industry-leading tools, including IC Complier II to accelerate design closure and tapeout readiness for SMIC's 28-nanometer manufacturing process."

CTIMES loves to interact with the global technology related companies and individuals, you can deliver your products information or share industrial intelligence. Please email us to en@ctimes.com.tw

955 viewed

comments powered by Disqus